首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 156 毫秒
1.
FPGA仿真是确保IC设计正确的重要手段.本文探讨了FPGA仿真技术和ASIC设计FPGA仿真验证流程,介绍了一种新型硬件可重配置的通用FPGA仿真验证平台的设计技巧,解决了用多片FPGA芯片实现ASIC仿真的难题.  相似文献   

2.
现代通信技术的发展随着ASIC的应用进入了一个新的阶段,也需要学生更全面地掌握ASIC技术。在解析串行RS232同步和异步通信协议的基础上,提出了在CPLD/FPGA上设计同步异步转换实验的方法和过程。在XILINX公司的XC95144芯片上进行了硬件仿真和运行,并给出了部分源代码和仿真测试结果。  相似文献   

3.
提出一种基于Xilinx公司Virtex-5 FPGA的高速数字下变频的实现方法,使用system Generator for DSP软件实现IP Core在FPGA中的建模,通过Matlab进行了仿真验证,并下载到FPGA芯片中进行了功能验证,证明该设计集成度高和稳定性强,降低了开发成本.  相似文献   

4.
SRAM型FPGA(field programmable gate array)因为其具有信息密度大、性能高、开发成本低、可重复编程等特性,受到航天电子方面设计者青睐,越来越多地被应用于需要高可靠性的复杂空间环境.然而,相比于传统的ASIC电路设计,由于FPGA对辐射的潜在敏感性,易引发单粒子翻转效应(single-event upsets,SEUs),甚至可能造成系统失效.该文提出一种全新的三模冗余技术(triple modular redundancy,TMR)来削弱空间粒子对FPGA的影响,这项技术可以减轻FPGA中采用映射设计的配置位受到SEUs的影响.通过逐位翻转故障注入实验验证显示,相对于传统的TMR设计,采用该新技术防护的FPGA中易收到SEUs影响的配置位减少了87%.  相似文献   

5.
介绍了SPI工作原理,给出了一种基于FPGA的SPI控制器的设计方法。利用FPGA丰富的逻辑资源以及产生精确时序的能力,非常方便地对SPI flash进行读写、擦除等操作,从而能快速、准确地存储数据。阐述SPI控制器的设计过程,使用Modelsim进行仿真验证,并用VHDL硬件描述语言进行编程,下载到FPGA开发板上进行测试验证,对SPI接口Flash进行操作。证明了系统设计方法的正确性和可靠性。该方法对FLASH存储控制系统的设计具有普遍适用性,可用于对FPGA配置进行保存。  相似文献   

6.
在分析QVGA-AMOLED显示屏分辨率要求的基础上,提出一种基于FPGA的OLED显示屏外围驱动IC的实现方案.针对驱动电路中最为重要的控制信号产生电路和数据传输电路的要求,采用FPGA技术,完成了系统的设计.以ALtera公司的FPGA为硬件载体,使用VHDL语言和原理图编辑的方法对控制器内部各个功能模块的控制逻辑和时序进行了硬件描述.并通过QuartusⅡ软件对控制器中的各个模块进行设计和仿真验证,得到了正确的仿真波形,结果与设计吻合.  相似文献   

7.
唐卫斌 《商洛学院学报》2013,27(2):15-18,52
介绍Verilog在数字电路设计中特别是分频器中的应用以及它相对的优越性。基于现在常用的计数器设计思想,具体给出了任意偶数次分频和任意奇数次分频的可重复使用的Verilog代码,通过了EDA软件ModelSim的仿真验证,得到了ASIC的RTL结构图。两段代码给其他数字逻辑电路设计人员提供了现成的设计模版,可以大大减少设计时间。  相似文献   

8.
结合工程实践,提出一种适用于OFDM系统的128点FFT处理器的FPGA实现。FFT处理器使用VerilogHDL语言进行描述,采用同步流水线结构,具有由定点引起的量化误差低的特点,并且可以连续实时地处理高速数据流。在Xilinix的FPGA上得到验证,且有利于移植到ASIC。能满足高速实时的OFDM系统的需求。  相似文献   

9.
EDA技术在电路设计中的地位和作用   总被引:5,自引:0,他引:5  
EDA(电子线路设计座自动化)是以计算机为工作平台、以硬件描述语言(VHDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC芯片为目标器件、进行必要的元件建模和系统仿真的电子产品自动化设计过程.通过总结EDA技术的实践经验,介绍EDA技术的发展、基本特征和应用,并在EDA技术设计过程和EDA实验室建设方面提出一些见解.  相似文献   

10.
根据企业对数字IC设计岗位的要求及当前教学和课程设置中存在的问题,提出了将HDL设计、数字系统性能优化、EDA软件的使用穿插到数字电路、FPGA设计、ASIC设计等传统课程中的三级课程体系,该体系在教学实践中取得了良好的教学效果。  相似文献   

11.
专用集成电路、复杂可编程逻辑器件,现场可编程逻辑门阵列在电子设计领域得到了极大的应用。专用集成电路用于应特定用户要求和特定电子系统的需要而设计、制造的集成电路。现场可编程逻辑门阵列和复杂可编程逻辑器件都是可编程专用集成电路器件。复杂可编程逻辑器件适合逻辑密集型应用,如状态机和地址解码器逻辑等。而现场可编程逻辑门阵列适用于寄存器密集型设计。  相似文献   

12.
以太无源光网络接入控制器的实现   总被引:1,自引:0,他引:1  
1IntroductionWith the developing of packet switching and trans-mission technologies,many IP-oriented applicationshave experienced a substantial growth,makingit pos-sible for various real-ti me broadband video servicesviathe Internet.However,current access networktechnologies can only offer512k-2M subscriberbandwidth,whichis unable to meet the broadband de-mand of emerging3Tnet services such as high-defini-tion video(HDTV)and real-ti me network TV broad-cast.Therefore,the access network sti…  相似文献   

13.
WCDMA中下行链路基带调制信道多,功能复杂,对其测试和验证所花费的时间和费用也随之提高。笔者提出一种WCDMA下行基带调制系统FPGA验证方法,构建了一种WCDMA下行链路基带调制验证系统,并给出了算法FPGA基带调制模块设计逻辑框图。该FPGA验证系统具有较高的性能和设计效率,可充分验证WCDMA下行信道基带调制的关键技术。  相似文献   

14.
介绍了FPGA器件的基本结构及设计特点,分析了采用FPGA进行电路设计的优势。选用Altera公司的F1ex10K系列FPGA器件EPF10K10LC84—4,以交通信号灯控制系统为例,讨论了采用FPGA进行时序逻辑电路设计的思路与方法,使用硬件描述语言Verilog HDL作为输入,给出了核心部分的主要程序代码。最后进行了时序波形的仿真,并对相关波形中出现的毛刺现象进行了相应分析。  相似文献   

15.
介绍一种采用FPGA(现场可编程门阵列电路)实现SDH(同步数字体系)设备时钟芯片设计技术,硬件主要由1个FPGA和1个高精度温补时钟组成.通过该技术,可以在FPGA中实现需要专用芯片才能实现的时钟芯片各种功能,而且输入时钟数量对比专用芯片更加灵活,实现该功能的成本降低三分之一.该技术实现的时钟输出完全符合ITU-TG.813标准,可广泛应用于各种SDH设备中.  相似文献   

16.
基于FPGA的AES-128加密芯片的设计与实现   总被引:2,自引:0,他引:2  
介绍了基于Altera公司的系列FPGA的AES-128加密算法的具体实现方案,优化了字节替换,设计了简化结构的列混合/逆列混合变换,最终实现了加解密模块的复用,从而有效减少了硬件资源的消耗.通过在芯片EP1C12Q240C8上的验证,在100MHz工作频率下,数据吞吐率达到256Mbps,而芯片规模不超过30K门.试验表明该方案能够以较少的资源获得较高的吞吐率.  相似文献   

17.
根据等精度测量的原理,利用FPGA和Verilog HDL编程设计了一种数字频率计.FPGA程序由分频模块、计数器模块、除法器模块、显示模块组成.经过仿真下载验证,能够实现等精度测频功能,频率测量范围为1Hz-1MHz.与传统方法相比,该方法具有外围电路简单,设计周期短,易于修改等优点.  相似文献   

18.
基于FPGA的验证平台能够缩短SoC芯片的开发时间,提高验证工作的可靠性,并具有可重用性。利用Xilinx公司的FPGA作为一个基于标准总线连接的IP模块验证平台,并将待验证IP模块综合后下载于FPGA中.通过软硬件协同验证的方法,验证了待测IP模块的正确性。通过介绍SPI模块的验证方法.给出了基于FPGA的SoC/IP验证的软件设计思路。  相似文献   

19.
利用嵌入式技术,使用FPGA实现了多路全双工串口.该系统在接收端和发送端前都加一个具有8个缓冲单元的FIFO,实现内部模块时钟与串口速率匹配,同时,发送波特率和接收波特率等参数能够根据需要来进行相应的配置,并在Altera公司的CycloneⅢ系列FPGA硬件平台进行验证实验结果,该设计完全符合串口通信标准.  相似文献   

20.
为精确测量角度位置电机闭环控制,提出基于FPGA的BISS-C协议寄存器配置与数据读取方法。首先研究BISS-C协议组网方式与帧格式,阐述寄存器读写模式与数据读取模式;然后针对正余弦编码器细分芯片IC-NQC,利用FPGA芯片灵活性及并行运行效率高等特点,通过状态机设计寄存器读写电路与数据传输电路,实现对IC-NQC芯片参数配置与数据读取。采用精密摆动电机进行实验验证。实验数据为MA时钟频率5mHz,数据交换周期100kHz,满足精密摆动电机运动控制定位要求。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号