首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
FPGA低功耗的设计研究   总被引:1,自引:0,他引:1  
本文根据串行、并行两种算法,利用VHDL语言设计八位加法器,分析研究不同算法对基于FPGA设计特性的影响。所设计的两种加法器,在QuartusⅡ7.2中基于EPM240F100C5进行了功耗、运行速度、逻辑单元占用等性能的分析。分析与实验结果表明,不同算法会对设计系统的特性产生影响,所设计的并行加法器对FPGA逻辑单元、动态功耗的占用与串行加法器相比占用资源少,功耗低,具有较好的特性。  相似文献   

2.
基于FPGA的多体制解调器设计   总被引:1,自引:1,他引:0  
给出了一种基于FPGA的多体制解调器实验模块的设计,包括解调器的硬件结构、解调数学模型、Simulink仿真和VHDL语言编程.该解调器能实现5种方式的解调,功能和性能测试结果表明该解调器设计合理、有效.  相似文献   

3.
易语言的使用与研究   总被引:2,自引:1,他引:2  
分别从运行占用资源、编码效率、程序运行速度与代码量等多个方面与其它编程语言进行了比较研究,从而体现出易语言编程的优点。  相似文献   

4.
运用VHDL在FPGA/CPLD器件上实现一种数字频率计测频系统,分析了数字频率计软件构成结构,并对其中的测频控制信号发生器电路进行了VHDL软件编程实现。  相似文献   

5.
设计出一种新型的FPGA编程控制CCD驱动电路方法。通过仿真与实验结果表明,该方法能实现CCD的驱动时序、采样和信号输出。该方法采用VHDL语言,电路设计简单化、直观化、稳定性高,容易修改;采用PCI总线,电路能迅速完成采集和传输。该设计具有较好的性价比和抗噪声性能。  相似文献   

6.
运用VHDL在FPGA/CPLD器件上实现一种数字频率计测频系统,分析了数字频率计软件构成结构,并对其中的测频控制信号发生器电路进行了VHDL软件编程实现。  相似文献   

7.
介绍了硬件描述语言VHDL的结构及设计方法,用VHDL语言设计了定点原码一位乘法器并通过CPLD器件实现了定点原码一位乘法器的实验过程.  相似文献   

8.
在光纤通信中。利用FPGA实现绝对式光电编码器格雷码输出译码和显示电路。本设计包括FPGA的硬件电路设计,硬件电路的功能,VHDL语言设计方案和实现方法以及采用JTAG边界扫描测试标准实现电路的在线系统编程(ISP)。  相似文献   

9.
仿真技术的应用,加速了电子线路的设计与开发过程,而仿真技术的实现,核心在于虚拟元器件的建立.介绍了一种用VHDL语言建立有源半导体器件模型并在SPICE中建立虚拟器件,从而实现虚拟电路仿真的方法.  相似文献   

10.
介绍VHDL语言及在系统编程技术的应用,结合自顶而下模块化设计的出租车计费系统实例,给出使用VHDL语言和在系统编程器件设计数字系统的基本思路.  相似文献   

11.
C语言是目前流行很广的一种高级语言,它可编写出灵活和多功能的用户界面,为了提高C语言程序对硬件的处理效率,让C语言与汇编语言结合是一种非常有效的方法。目前两者的混合编程得到了广泛的应用。本文讨论了两种方法实现C语言与汇编语言的混合编程,详细分析了混舍编程时应注意的一些技术问题,并给出了应用程序实例。  相似文献   

12.
介绍了VB与MATLAB混合编程的5种方法,分别利用com组件技术与MatrixVB完成了VB与MAT-LAB混编,实现了图像的绘制。从两种实验结果来看,这两种方法占用计算机内存小,且编程语言简单,充分利用了VB与MATLAB的特点,简化了编程过程。  相似文献   

13.
通过叙述FPGA器件的VHDL语言典型设计流程,讨论了几种利用FPGA器件实现特定逻辑功能以及提高器件利用率的VHDL优化设计方法。  相似文献   

14.
简单概述了VHDL语言的并行语句和顺序语句,描述了其种类和特点。给出了一段同步整形电路的代码,结合用QuartusII仿真得到的波形图,详细地分析了代码的执行过程。通过分析这段代码,可以了解用VHDL语言设计时序电路的最核心的语句——进程的用法,以及利用信号的赋值实现多个进程间通信的方法。  相似文献   

15.
吕晓兰 《茂名学院学报》2006,16(4):40-42,46
VHDL(超高速集成电路硬件描述语言)作为硬件描述语言具有良好的可读性、可移植性和易理解等优点。介绍了VHDL语言及其基本特点和VHDL语言在数字钟设计中的具体应用,在应用VHDL语言进行电路设计的过程中,说明了用VHDL语言设计数字系统的方法,并给出了仿真结果。结果表明,VHDL在硬件设计上是非常有效的,在数字电子电路的设计中硬件描述能力强、设计方法灵活。  相似文献   

16.
在运用Java语言编程时,可以把抽象类和接口理解为两个比较特殊的类.抽象类在Java语言中表示的是一种继承关系,接口可看做是一种特殊的抽象类,但抽象类和接口之间有着本质的区别.Java语言不支持多继承性,即一个子类只能有一个父类,但是在解决实际问题中有时子类需要继承多个父类的特性,Java语言使用接口有效的解决这个问题.一个类可以同时实现多个接口.因此,Java语言用接口有效的弥补了单继承性的缺点.  相似文献   

17.
在运用Java语言编程时,可以把抽象类和接口理解为两个比较特殊的类.抽象类在Java语言中表示的是一种继承关系,接口可看做是一种特殊的抽象类,但抽象类和接口之间有着本质的区别.Java语言不支持多继承性,即一个子类只能有一个父类,但是在解决实际问题中有时子类需要继承多个父类的特性,Java语言使用接口有效的解决这个问题.一个类可以同时实现多个接口.因此,Java语言用接口有效的弥补了单继承性的缺点.  相似文献   

18.
分别介绍VHDL与Verilog HDL两种硬件描述语言的发展过程以及它们的特点,对两者语言的优缺点进行对比。通过EDA应用实例比较两种语言的优劣,为高职院校在开设EDA课程提供参考。  相似文献   

19.
本设计利用EDA开发平台,采用可编程逻辑器件CPLD,利用FDDS技术,以VHDL语言为设计基础,使信号发生器的硬件功能可通过编程来实现0~5V的正弦波、三角波、方波和锯齿波信号.从而大大地节省了硬件开销和软件的编程难度,进而实现了一种性能较高的信号发生器.与模拟信号发生器相比,该系统具有波形稳定、精确度高、抗干扰性能力强、轻便、现场可编程、使用方便等特点.  相似文献   

20.
关于VHDL与EDA     
VHDL作为一种电路硬件描述语言,目前正在被越来越多的电子技术设计人员所应用,本文阐述了VHDL的特点,通过一个简单的例子介绍了VHDL语言的应用,说明了实现电子电路和自动化设计(EDA)过程。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号