首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 62 毫秒
1.
采用先进的测频技术,以EPLD芯片为基础设计的高性能频率计不仅能够消除直接测频方法中对测量频率需要采用分段测试的局限,而且能够在整个测试频段内能够保持高精度。由于采用EPLD芯片来实现频率测量。该频率计具有高集成度、高速和高可靠性的特点。  相似文献   

2.
介绍了“基于VHDL的ASIC芯片开发平台的研制”的研制背景意义说明了该项目的成果“ASIC (VHDL)应用开发系统”所具备的功能及特点,还总结了项目研制过程中的技术考虑。  相似文献   

3.
刘昶  孙丽梅 《科技广场》2007,(3):195-197
现有微控制器的串行口总数一般都很少,如常见的单片机只有一个或二个串口,在利用单片机进行应用系统设计时,往往需要进行串行口扩展。本文总结了目前常用的单片机串行口扩展技术,提出一种利用CPLD扩展单片机串口进行数据发送的方法,具有成本低、设计灵活、节省布线空间等特点。  相似文献   

4.
为解决在科研和商业活动中需对芯片是否损坏进行检测的问题,设计由上位Pc机软件和检测端组成的智能数字芯片检测仪。检测端由单片机AT89S52数据处理模块、复杂可编程逻辑器件(CPLD)EPM5256待浏芯片控制模块、双通道24位模数转换芯片(A/D)CS5550采样电路、电可擦写可编程只读存储器(EEPROM)AT24C08存储电路、LED显示电路和过流保护电路组成。检测端具备与上位Pc机串口通信功能,通过上住机软件用户可以自行更新、编辑待测芯片库,检测端可以脱离上位机,同时对两个48管脚以内双列直插式(DIP)封装的数字芯片进行检测。  相似文献   

5.
唐九飞  刘宇环  于俊清 《科技通报》2012,28(7):122-125,138
主要研究基于VHDL的可编程逻辑器件虚拟实验平台的设计和实现方案。平台的主体是VHDL程序翻译模块和模拟调度模块。翻译模块的核心是VHDL编译器,它将用户编写的VHDL源程序翻译转换为等价的C++语言描述,最终生成若干C++程序文件;模拟调度模块通过改进传统的事件驱动模拟算法,对翻译模块得到的C++文件进行编译、连接和动态调度,实现对所描述的静态电路的动态行为的模拟。通过典型实验样例对平台进行了验证,结果表明该系统中进行实验的过程和结果与真实实验一致,达到预定设计要求。  相似文献   

6.
采用VHDL语言,运用自顶向下的设计思想,将系统按功能逐层分割的层次化设计方法,使用MUX plus2集成开发环境进行编辑,实现频率计的设计.给出了基于VHDL语言的频率计的设计的顶层设计方框图.  相似文献   

7.
曾任贤  李少义 《科技广场》2007,(11):230-231
针对复杂大规模可编程器件的特点,提出了一种新的数字频率计的实现方法。在MAXPLUSII开发软件环境下,采用硬件编程语言VHDL,实现了数字频率计的设计。经过仿真,并下载验证,能够实现测频功能。  相似文献   

8.
本设计中选用目前较为广泛的VHDL硬件描述语言,实现路口交通灯系统的控制器的硬件电路描述,在XILINX公司的集成软件平台ISE8.1i环境下通过了编译,仿真,并下载到Spartan2E xc2s50 tq144芯片上进行验证,实现了交通灯设计要求的控制过程!结合交通灯控制器的设计过程,介绍了硬件描述语言VHDL硬件语言的结构模型和设计流程,说明了VHDL设计的优点及在数字系统设计中的重要地位和作用。利用CPLD可编程器件的可重复编程和在动态系统重构的特性,大大地提高数字系统设计的灵活性和通用性。  相似文献   

9.
数字频率计的设计与实现   总被引:1,自引:0,他引:1  
本文介绍了一种基于AT89C51单片机的数字频率计。该数字频率计利用单片机内部的定时/计数器,配合相应的前置信号处理电路、外围接口电路以及相应的软件可完成待测周期信号的频率测量。  相似文献   

10.
随着汽车行业的快速发展,汽车已经成为人们日常生活不可缺少的一部分,汽车交通事故也是不可避免的事情,有效的减少交通事故的发生对我国经济发展,社会稳定,人们幸福具有重要意义。汽车行驶记录仪,俗称"黑盒"是实现装在汽车运行数据记录的车,和数据进行分析,从而控制车辆的车辆。本课题是基于车辆行驶数据记录仪的设计,实现了车辆信息处理,传输和存储。汽车设计状态记录仪可以有效地避免交通事故,防止司机非法驾驶,准确,易用性和实用性。  相似文献   

11.
随着计算机各种软硬件的升级和发展,由于USB(UniversalSerialBus,即通用串行总线)的热插拔、即插即用、共享式小巧接口、成本低、使用灵活、性能可靠、兼容性好等一系列优点,使得它迅速成长,成为各种操作系统、控制芯片和开发工具支持的一种标准接口。可编程逻辑器件随着计算机技术的发展也越来越得到广泛的应用。本文在此主要通过VHDL语言,利用可编程逻辑器件作为载体来设计USB2.0的协议处理层模块。  相似文献   

12.
易淼 《科技广场》2013,(10):98-100
本文用VHDL语言设计了PPM的传输系统,实现了传输速率为512kb/s的数字信号调制。结果表明,PPM基带调制系统正确工作。  相似文献   

13.
本文介绍了以EDA设计软件MAX PLUSII为基础,利用VHDL语言设计一个CRC(7,3)码编码器的基本方法,所设计的编码器可将任意3位信息位转换为7位CRC码,并给出了该编码器在MAX PLUSII软件平台下的仿真结果及所生成的可共享的CRC编码模块。  相似文献   

14.
基于FPGA的等精度数字频率计的研究与实现   总被引:1,自引:0,他引:1  
曾任贤 《科技广场》2009,(5):238-239
本文提出了一种基于等精度测频原理的频率计,给出了一种基于FPGA的设计方案.在QuartusII开发软件环境下,采用硬件编程语言VHDL,实现了数字频率计的设计.经过仿真并下载验证,能够实现等精度测频功能,频率测量范围1~9999kHz,精度为10-4.  相似文献   

15.
16.
本文利用EDA技术作为开发系统,以VHDL语言作为硬件描述语言来实现帧同步信号的提取的设计。首先从同步数据流中提取位同步信息,然后提取帧同步信息。这里采用插入特殊码法来实现提取帧同步信号。插入特殊码法(即插入式帧同步法)是以巴克码作为帧同步开头的方式,它在数字信息码序列中插入一些特殊码组作为每帧的帧头标志,而在接收端则根据这些码组的位置来实现帧同步。仿真结果表明在接收端可以提取出准确的帧同步信号。  相似文献   

17.
本文介绍了一种应用可编程片上系统设计高精度数字频率计的具体方案,应用单芯片实现高精度测频的目标。文中还介绍了PSoC系统开发设计的基本方法。  相似文献   

18.
张燕群  解振东 《大众科技》2011,(11):108-109
在了解电火花线切割加工原理、比较现有的电火花线切割放电间隙状态的检测方法的基础上,设计了以VHDL硬件描述语言为核心的CPLD硬件实时检测电路,以提高电火花线切割加工的稳定性和加工质量。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号