首页 | 本学科首页   官方微博 | 高级检索  
     检索      

PWM控制的FPGA实现
引用本文:肖敏.PWM控制的FPGA实现[J].绵阳师范学院学报,2009,28(5):35-38,42.
作者姓名:肖敏
作者单位:绵阳师范学院物理与电子工程学院,四川绵阳,621000
摘    要:PWM(Pulse Width Modulation,脉宽调制)是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用于测量、通信、功率控制与变换的许多领域中.优点在于能最大限度实现抗干扰.本设计采用ALTERA公司的EPF6016来产生某型飞行器的检测控制设备设计的全静压试验器所需要的PWM控制信号去驱动试验器气路系统中相应的比例阀进行数据通讯,并将通讯后所得的数据交给微机处理器进行处理,进而达到控制的目的.

关 键 词:PWM控制信号  比例阀

The Implementation of PWM Control Signal by FPGA
XIAO Min.The Implementation of PWM Control Signal by FPGA[J].Journal of Mianyang Normal University,2009,28(5):35-38,42.
Authors:XIAO Min
Institution:School of Physics and Electronic Engineering of Mianyang Normal University;Mianyang;Sichuan 621000
Abstract:o control the experimental system,this design tries to use EPF6016 made by ALTERA to generate the PWM control signal.The results of the experiment show that it can work accurately and rapidly.This part can be integrated in other part of the system and make it simple.
Keywords:FPGA
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号