首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于VHDL的16QAM信号发生器设计与实现
引用本文:吴华,王辉,温俊青,张小真.基于VHDL的16QAM信号发生器设计与实现[J].教育技术导刊,2018,17(1):113-115.
作者姓名:吴华  王辉  温俊青  张小真
作者单位:1.西安石油大学 理学院,2.西安石油大学 信息中心,陕西 西安 710065
摘    要:基于VHDL与MAX+PLUSⅡ软件开发平台,采用层次化、模块化与参数化的设计方法,设计并验证了一种性能良好的16QAM信号发生器。介绍了VHDL语言与16QAM原理,阐述了16QAM信号调制与解调的理论方法,设计并实现了正余弦载波产生、16QAM调制、解调等功能模块,给出整体调制解调的模块图与仿真波形。性能分析结果表明该系统设计可行。

关 键 词:VHDL  16QAM  调制  解调  
点击此处可从《教育技术导刊》浏览原始摘要信息
点击此处可从《教育技术导刊》下载免费的PDF全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号