首页 | 本学科首页   官方微博 | 高级检索  
     检索      

用Verilog设计实现异步双向计数器
引用本文:孙波,吴亮.用Verilog设计实现异步双向计数器[J].教育技术导刊,2008,7(10):118-120.
作者姓名:孙波  吴亮
作者单位:江苏自动化研究所计算机事业部
摘    要:介绍了一种利用Verilog设计实现异步双向计数的方法,给出了主要的可综合Verilog代码,通过仿真验证,可以实现双向计数、异步置数、清零等功能,达到了设计要求。

关 键 词:异步计数器  Verilog  FPGA
本文献已被 CNKI 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号